中国科学院机构知识库网格
Chinese Academy of Sciences Institutional Repositories Grid
FTT-NAS: Discovering Fault-tolerant Convolutional Neural Architecture

文献类型:期刊论文

作者Ning, Xuefei2; Ge, Guangjun2; Li, Wenshuo2; Zhu, Zhenhua2; Zheng, Yin3; Chen, Xiaoming1; Gao, Zhen4; Wang, Yu2; Yang, Huazhong2
刊名ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS
出版日期2021-11-01
卷号26期号:6页码:24
ISSN号1084-4309
关键词Neural architecture search fault tolerance neural networks
DOI10.1145/3460288
英文摘要With the fast evolvement of embedded deep-learning computing systems, applications powered by deep learning are moving from the cloud to the edge. When deploying neural networks (NNs) onto the devices under complex environments, there are various types of possible faults: soft errors caused by cosmic radiation and radioactive impurities, voltage instability, aging, temperature variations, malicious attackers, and so on. Thus, the safety risk of deploying NNs is now drawing much attention. In this article, after the analysis of the possible faults in various types of NN accelerators, we formalize and implement various fault models from the algorithmic perspective. We propose Fault-Tolerant Neural Architecture Search (FT-NAS) to automatically discover convolutional neural network (CNN) architectures that are reliable to various faults in nowadays devices. Then, we incorporate fault-tolerant training (FTT) in the search process to achieve better results, which is referred to as FTT-NAS. Experiments on CIFAR-10 show that the discovered architectures outperform other manually designed baseline architectures significantly, with comparable or fewer floating-point operations (FLOPs) and parameters. Specifically, with the same fault settings, F-FTT-Net discovered under the feature fault model achieves an accuracy of 86.2% (VS. 68.1% achieved by MobileNet-V2), and W-FTT-Net discovered under the weight fault model achieves an accuracy of 69.6% (VS. 60.8% achieved by ResNet-18). By inspecting the discovered architectures, we find that the operation primitives, the weight quantization range, the capacity of the model, and the connection pattern have influences on the fault resilience capability of NN models.
资助项目National Natural Science Foundation of China[U19B2019] ; National Natural Science Foundation of China[61832007] ; National Natural Science Foundation of China[61621091] ; National Key R&D Program of China[2017YFA02077600] ; Beijing National Research Center for Information Science and Technology (BNRist) ; Beijing Innovation Center for Future Chips ; Tsinghua University[TT2020-01] ; Toyota Joint Research Center for AI Technology of Automated Vehicle[TT2020-01] ; Beijing Academy of Artificial Intelligence
WOS研究方向Computer Science
语种英语
出版者ASSOC COMPUTING MACHINERY
WOS记录号WOS:000756208000004
源URL[http://119.78.100.204/handle/2XEOYT63/19006]  
专题中国科学院计算技术研究所期刊论文_英文
通讯作者Ning, Xuefei
作者单位1.Chinese Acad Sci, Inst Comp Technol, State Key Lab Comp Architecture, Beijing 100190, Peoples R China
2.Tsinghua Univ, Dept Elect Engn, Rohm Bldg, Beijing 100084, Peoples R China
3.Tencent, Weixin Grp, Beijing 100080, Peoples R China
4.Tianjin Univ, Sch Elect & Informat Engn, Tianjin 300072, Peoples R China
推荐引用方式
GB/T 7714
Ning, Xuefei,Ge, Guangjun,Li, Wenshuo,et al. FTT-NAS: Discovering Fault-tolerant Convolutional Neural Architecture[J]. ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS,2021,26(6):24.
APA Ning, Xuefei.,Ge, Guangjun.,Li, Wenshuo.,Zhu, Zhenhua.,Zheng, Yin.,...&Yang, Huazhong.(2021).FTT-NAS: Discovering Fault-tolerant Convolutional Neural Architecture.ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS,26(6),24.
MLA Ning, Xuefei,et al."FTT-NAS: Discovering Fault-tolerant Convolutional Neural Architecture".ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS 26.6(2021):24.

入库方式: OAI收割

来源:计算技术研究所

浏览0
下载0
收藏0
其他版本

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。